Monday, November 15, 2010

VHDL Based Robot PART-III


AUTONOMOUS ROBOT FOLLOWING PREDEFINED PATH USING VHDL CODING - PART III



Hi friends, in this part I have collected some of the snaps and diagrams to help you  get an idea about the hardware and circuit integrations.


MECHANICAL SUBASSEMBLY







CIRCUIT FABRICATION


POWER CIRCUIT

Testing:
·         Voltage and current at secondary coil of transformer 14.9v, 500mA
·         Voltage at two output terminals of rectifier 13.5v
·         Voltage across 1000uf capacitor 13.5v
·         Voltage between pin 1 and 2 of voltage regulator  13.9v
·         Voltage between pin 2 and 3 of voltage regulator 5.01v
·         Current output from voltage regulator 1 A
·         Voltage  across 10uf capacitor 5.01v



CPLD KIT



  • Supply voltage 5.01v
  • Clock frequency used 2Hz
  • Output from JP5 When 1(high) = 3.44vWhen 0(low)= 0.07v

DRIVER CIRCUIT
  
 Testing:
  • Voltage at pin 1,2,3,4 of 8 pin connector when 1 occurs 3.44vWhen 0 occurs 0.07v
  • Voltage at input pins 1,3,5,9 of hex inverter 3.44v when 1 occurs
  • Voltage and current coming out from output pins 2,4,6,8 of hex inverter 4.32v  4.5mA when 1 occurs
  • Current flowing through resistor and LED's 2mA
  • Voltage across resistor 2.02v
  • Voltage drop across LED 2.99v
  • Voltage flowing to the input pins 2,7,10,15 of L293D 3.86V
  • Voltage and current coming out from output pins 3,6,11,14 of L293D 14.35v, 900mA