Sunday, October 31, 2010

VHDL Based Robot PART-I

AUTONOMOUS ROBOT FOLLOWING PREDEFINED
PATH USING VHDL CODING PART-I




Hello friends, we know a lot about the robot designing and programming robots using different families of microcontrollers, in this tutorial we will explore a new way of robot programming which is by VHDL coding, So let's begin….

DESCRIPTION


This article shows the application of VHDL coding in the robotic world. This autonomous robot will follow a predefined path. In our project this path is a square that is of 8 steps (each side). For this purpose we are using two power supply, one of them is used to give 5V regulated supply and other one is giving 12V unregulated dc supply. We are using CPLD kit in which VHDL coding is burned. Quartus II software is used for burning the VHDL coding in the CPLD kit. The 5V regulated dc supply is given to the CPLD kit. And 12v unregulated dc supply is used to drive the motors of the robot. The digital output from the CPLD kit is given to the Hex Inverter (Buffer) and then to the L293D driver.

BLOCK DIAGRAM


 


Software:- Quartus II software version 8.0 is used. This software provides the platform for writing the VHDL code.

Compilation and simulation:- After writing the VHDL code, the software provides the facility to compile and simulate the program so that an errorless program is burnt into the kit with required files.

CPLD kit:- This kit provides the facility to put the VHDL code in execution and provides required input and output.

Hex inverter:- CD4069 IC is used as hex inverter which works as a buffer as well as an inverter.

Motor driver:- L293D IC is used as motor driver. This accepts DTL and TTL logic levels and drives the load.


RESOURCES REQUIRED

COMPONENT
SPECIFICATION
QUANTITY
1.
Connectors
7     pin
2 pin
1
4
2.
Transformer
220V AC
12V/ 500 mA
Center tap.
1
3.
Diodes
1N4007
10
4.
Voltage regulator
MC7805
1
5.
Capacitors
1000Uf / 25v
10 uF/ 16v
2
1
6.
Hex inverter
CD4069
1
7.
Driver IC
L293D
1
8.
LED
Ultra red
4
9.
Resistors
1Kohm
4
10.
Connecting wires
      -
As required
11.
PCB
epoxy
1
12.
CPLD
EPM3064ALC44-10
1
13.
Soldering iron
       -
1
16.
Downloading cable
Byte blaster
1


Part-II will be focusing on the Circuit Design; Continue Reading... Cheers.

3 comments:

Unknown said...

good

Yash said...

very nice..can u provide full details abt ths.. i want to make ths projects with some changes

Anonymous said...

Can we program a robot in "C"?
Or may be even in python?