Sunday, October 31, 2010

VHDL Based Robot PART-II

AUTONOMOUS ROBOT FOLLOWING PREDEFINED
PATH USING VHDL CODING - PART II



CIRCUIT DESIGN


CIRCUIT DESCRIPTION:


 POWER SUPPLY

1.  Transformer: The transformer has a center tap output. The output voltage is split evenly over each half of the output. We are using a step down center tap transformer which is converting 220v AC to 12v AC supply. Center tap means there is a point connected in the middle of the secondary winding which is dividing the output voltage 24v across the two ends of secondary winding into the 12v in two parts.

2.  Bridge rectifier: It is using 4 diodes 1N4007 which are connected in the form of bridge and for a half cycle of AC two diodes are operating and for another half cycle two another diodes are operating giving DC voltage at the output because in a full cycle current is flowing in the same direction at the output terminal. The diode we are using has peak reverse repetitive voltage as 1000v and RMS reverse voltage of 700v with .66ohm forward resistance and 2Mohm reverse resistance.

3.    Voltage regulator: We are using MC7805 voltage regulator which is converting 12v unregulated supply into the 5v regulated supply. all modern electronic voltage regulators operate by comparing the actual output voltage to some internal fixed reference voltage. Any difference is amplified and used to control the regulation element. There are three pins, first pin for input, second for ground and third for output voltage. The voltage regulator typically needs input voltage 2v more than the required output voltage and gives the 1A output current. 1000uF capacitor is used at input pin to smooth the ripples present in rectified output and the capacitor 10uF is used for stable response.


CPLD KIT: This kit is used for the realization of the VHDL code written in the quartus II software. We have used EPM3064ALC44-10 ALTERA processor on this kit. This provides total 44 pins for the various functions. This kit provides 10 clocks which can be used according to the requirement.


Clock selection header
  
The power supply is given at SW1 pin which uses 6VDC, 500mA unregulated supply. The voltage regulator connected converts this unregulated supply into the 3.3v regulated supply. But we are giving 5v regulated supply which is converted into 3.3v. This CPLD kit provides the facility to give user inputs by DIP SWITCHES and gives the output at JP5 which can be indicated at LED's. The 7 segment display is used for the indication of the movement.

DRIVER CIRCUIT: This circuit is consisting of connecter, hex inverter and motor driver. The Cpld kit gives digital output from JP5 to the input pins of connecter and these pins are connected to the input pins of hex inverter i.e. 1,3,5,9.

1.    HEX INVERTER:  We are using CD4069. This is a 14 pin IC. It uses 3.0 v to 15v supply at its 14 pin. And 6 pin is used for ground and other 12 pins are used in 6 inverters connected internally. The inputs are given at 1,3,5,9 and outputs are taken at 2,4,6,8.


2.   RESISTER AND LED's: We are using 4 current limiting resistors of 1K ohm and 4 ultra red LED's for indication of output bots from hex inverter.

3.   MOTOR DRIVER: We are using L293D IC which is 16 pin IC. This works on the TTL logic and drives the load for example stepper motor, DC motor etc. this pin is consist of two H-BRIDGE  internally which are driving two motors.  Pin no. 2, 7, 10, 15 are used as input and 3, 6, 11, 14 are used as output pins and pin 1 and 9 are used for enable. At the pin 8 external 12v supply is given to provide required current to the motors. Pin 16 is given Vcc. And other pins are grounded.


No comments: